Андрей Смирнов
Время чтения: ~17 мин.
Просмотров: 33

Устройство микроконтроллеров avr

Программатор USBTiny-MkII slim

Опубликовано вт, 10/17/2017 — 20:52 пользователем trol

USBTiny-MkII slim — компактный и быстрый USB-программатор для микроконтроллеров AVR, совместимый с фирменным программатором AVRISP-MKII от Atmel.
Немалая стоимость оригинала поспособствовала появлению его многочисленных клонов, и самым удачным из них, пожалуй, является именно USBTiny-MkII SLIM.
Устройство может программировать все 8-разрядные AVR-микроконтроллеры, обладающие возможностью внутрисхемного программирования (поддерживаются
интерфейсы ISP, TPI и PDI).

Это быстрый программатор. 128 Кб флеша ATMega128 полностью считываются за 35 секунд (для сравнения, usbasp делает это за 70 секунд), и пишутся чуть
медленнее (скорость записи ~15 Кб/сек)

Второе важное достоинство устройства в том, что оно без проблем работает не только с avrdude, но и с
AtmelStudio, которая видит программатор как родную железку.

Высокая скорость работы обеспечивается использованием микроконтроллеры AT90USB162 с аппаратной поддержкой USB (этот контроллер содержит встроенный
bootloader и для его прошивки не нужен программатор). Кроме микроконтроллера на плате программатора имеется двунаправленный преобразователь уровня
напряжения GTL2003, LDO-стабилизатор (MCP1825S-3302ED) на 3.3В, джампер для выбора напряжения питания программируемого МК (3.3В/5В) и пара
светодиодов-индикаторов режима работы.

Для программатора была спроектирована модель корпуса для печати на 3d-принтере. Корпус состоит из двух половинок, которые склеиваются между собой. Под
джамперы, светодиоды и разъёмы программатора (и подписи к ним) сделаны окошки. Также есть ниша для установки 10-пинового ISP-разъема (в дополнении к
6-пиновому ISP на печатной плате).

Кодировка инструкции

Назначения битов:

  • rrrrr = Исходный регистр
  • rrrr = Исходный регистр (R16 – R31)
  • rrr = регистр источника (R16 – R23)
  • RRRR = пара регистров источника (R1: R0 – R31: R30)
  • ddddd = Регистр назначения
  • dddd = Регистр назначения (R16 – R31)
  • ddd = Регистр назначения (R16 – R23)
  • DDDD = Пара регистров назначения (R1: R0 – R31: R30)
  • pp = пара регистров, W, X, Y или Z
  • y = бит пары регистров Y / Z (0 = Z, 1 = Y)
  • u = FMUL (S (U)) со знаком 0 = со знаком или 1 = без знака
  • s = бит сохранения / загрузки (0 = загрузка, 1 = сохранение)
  • c = вызов / прыжок (0 = прыжок, 1 = вызов)
  • cy = с переносом (0 = без переноса, 1 = с переносом)
  • e = Расширить адрес косвенного перехода / вызова с помощью EIND (0 = 0: Z, 1 = EIND: Z)
  • q = расширить адрес памяти программ с помощью RAMPZ (0 = 0: Z, 1 = RAMPZ: Z)
  • aaaaaa = адрес пространства ввода / вывода
  • aaaaa = адрес пространства ввода-вывода (только первые 32)
  • bbb = номер бита (0–7)
  • B = битовое значение (0 или 1)
  • kkkk = 4-битная беззнаковая константа (код операции DES)
  • kkkkkk = 6-битная беззнаковая константа
  • KKKKKKKK = 8-битная константа

Atmel AVR использует много разделенных полей, где биты не являются смежными в командном слове. Инструкции загрузки / сохранения со смещением являются наиболее ярким примером, когда 6-битное смещение разбивается на три части.

Обзор набора команд Atmel AVR
1 5 1 4 1 3 1 2 1 1 1 0 9 8 7 6 5 4 3 2 1 Инструкция
NOP
1 DDDD RRRR MOVW Rd, Rr Перемещение пары регистров
1 дддд рррр MULS Rd, Rr
1 1 ддд ррр MULSU Rd, Rr
1 1 ддд 1 ррр FMUL Rd, Rr
1 1 1 ддд ты ррр FMULS (U) Rd, Rr
код операции р ддддд рррр 2-операндные инструкции
c̅y̅ 1 р ддддд рррр CPC / CP Rd, Rr
c̅y̅ 1 р ддддд рррр SBC / SUB Rd, Rr
Сай 1 1 р ддддд рррр ADD / ADC Rd, Rr (LSL / ROL Rd, когда Rd = Rr)
1 р ддддд рррр CPSE Rd, Rr
1 р ддддд рррр И Rd, Rr
1 1 р ддддд рррр EOR Rd, Rr
1 1 р ддддд рррр ИЛИ Rd, Rr
1 1 1 р ддддд рррр MOV Rd, Rr
1 1 KKKK дддд KKKK ИПЦ Rd, тыс.
1 opc KKKK дддд KKKK Регистр-немедленные операции
1 c̅y̅ KKKK дддд KKKK SBCI / SUBI Rd, K
1 1 KKKK дддд KKKK ORI Rd, K SBR Rd, K
1 1 1 KKKK дддд KKKK ANDI Rd, K CBR Rd, K
1 k кк s ддддд y ккк LDD / STD через Z + k или Y + k
1 1 s ддддд код операции Загрузка / сохранение операций
1 1 s ддддд LDS rd, i / STS i, rd
16-битный немедленный адрес SRAM i
1 1 s ддддд y 1 LD / ST Rd через Z + / Y +
1 1 s ддддд y 1 LD / ST Rd через −Z / −Y
1 1 ддддд 1 q LPM / ELPM Rd, Z
1 1 ддддд 1 q 1 LPM / ELPM Rd, Z +
1 1 1 ддддд 1 XCH Z, Rd
1 1 1 ддддд 1 1 LAS Z, Rd
1 1 1 ддддд 1 1 LAC Z, Rd
1 1 1 ддддд 1 1 1 LAT Z, Rd
1 1 s ддддд 1 1 LD / ST Rd через X
1 1 s ддддд 1 1 1 LD / ST Rd через X +
1 1 s ддддд 1 1 1 LD / ST Rd через −X
1 1 s ддддд 1 1 1 1 POP / PUSH Rd
1 1 1 ддддд код операции Инструкции с одним операндом:
1 1 1 ддддд COM Rd
1 1 1 ддддд 1 NEG Rd
1 1 1 ддддд 1 SWAP Rd
1 1 1 ддддд 1 1 INC Rd
1 1 1 ддддд 1 (зарезервированный)
1 1 1 ддддд 1 1 ASR Rd
1 1 1 ддддд 1 1 ЛСР Роуд
1 1 1 ддддд 1 1 1 ROR Rd
1 1 1 BBB 1 Бит сброса / установки регистра состояния SEx / CLx
1 1 1 1 код операции 1 Инструкции с нулевым операндом
1 1 1 1 1 RET
1 1 1 1 1 1 РЕТИ
1 1 1 1 1 Икс 1 (зарезервированный)
1 1 1 1 1 Икс Икс 1 (зарезервированный)
1 1 1 1 1 1 СПАТЬ
1 1 1 1 1 1 1 ПЕРЕРЫВ
1 1 1 1 1 1 1 WDR
1 1 1 1 1 1 1 1 (зарезервированный)
1 1 1 1 1 1 q 1 LPM / ELPM
1 1 1 1 1 1 1 1 SPM
1 1 1 1 1 1 1 1 1 SPM Z +
1 1 1 c е 1 1 Косвенный переход / вызов в Z или EIND: Z
1 1 1 ддддд 1 1 DEC Rd
1 1 1 кккк 1 1 1 DES раунд k
1 1 1 ккккк 1 1 c k JMP / CALL abs22
ккккккккккккккк
1 1 1 1 кк pp кккк ADIW Rp, uimm6
1 1 1 1 1 кк pp кккк SBIW Rp, uimm6
1 1 1 B ааааа BBB CBI / SBI a, b (сброс / установка бита ввода / вывода)
1 1 1 B 1 ааааа BBB SBIC / SBIS a, b (битовый тест ввода / вывода)
1 1 1 1 р ддддд рррр MUL без знака: R1: R0 = Rr × Rd
1 1 1 s аа ддддд аааа ВХОД / ВЫХОД в пространство ввода / вывода
1 1 c 12-битное смещение со знаком RJMP / RCALL к ПК + simm12
1 1 1 KKKK дддд KKKK LDI Rd, K
1 1 1 1 7-битное смещение со знаком BBB Условный переход по биту регистра состояния
1 1 1 1 1 s ддддд BBB Бит регистра BLD / BST в STATUS.T
1 1 1 1 1 1 B ддддд BBB SBRC / SBRS пропускает, если бит регистра равен B
1 1 1 1 1 Икс Икс ддддд 1 BBB (зарезервированный)

История семейства

Семейство микроконтроллеров AVR было создано в 1996 г. корпорацией Atmel, а разработчиками архитектуры микроконтроллеров являются Alf-Egil Bogen и Vegard Wollan. Отсюда и происходит название семейства – от первых букв имен разработчиков – A и V, и первой буквы аббревиатуры RISC – типа архитектуры, на которой базируется архитектура микроконтроллера. Также эту аббревиатуру часто расшифровывают как Advanced Virtual RISC (модернизированный эффективный RISC).

Первым микроконтроллером в серии был AT90S8515, однако первым микроконтроллером, выпущенным на рынок, стал AT90S1200. Это случилось в 1997 г.

На сегодняшний день доступны 3 линейки микроконтроллеров:

TinyAVR – небольшой объем памяти, небольшие размеры, подходит для самых простых задач.

Внешний вид микроконтроллера TinyAVR

MegaAVR – наиболее распространенная линейка, имеющая большой объем встроенной памяти (до 256 КБ), множество дополнительных устройств и предназначенная для задач средней и высокой сложности.

Внешний вид микроконтроллера MegaAVR

XmegaAVR – используется в сложных коммерческих задачах, требующих большого объема памяти и высокой скорости.

Пример микроконтроллера XmegaAVR

Сравнительные характеристики различных линеек:

Название серии Число контактов Объем флэш-памяти Особенность
TinyAVR 6-32 0,5 – 8 КБ Небольшой размер
MegaAVR 28-100 4-256 КБ Периферийные устройства
XmegaAVR 44-100 16-384 КБ Система прерываний, поддержка DMA

Обозначения индексов микроконтроллеров

После обозначения базовой версии и серии микроконтроллера, через дефис идет индекс, указывающий вариант исполнения микроконтроллера.Индекс состоит из 1-2 цифр, которые означают максимальную частоту, на которой микроконтроллер может стабильно работать при нормальном для него напряжении питания, и из 1-3 букв, которые обозначают вариант корпуса, температурный диапазон работы, и особенности изготовления.Первая буква (или две буквы) после частоты обозначает тип корпуса:P — корпус DIP (PDIP)A — корпус TQFPM — корпус MLFTS — корпус SOT-23 (ATtiny4/5/9/10)J — корпус PLCCA — корпус UDFN/USONC — корпус CBGACK — корпус LGAS — корпус EIAJ SOICSS — узкий корпус JEDEC SOICT — корпус TSOPX — корпус TSSOP

Следующая буква означает температурный диапазон и особенности изготовления:C — коммерческий температурный диапазон (0 °C — 70 °C)A — температурный диапазон −20 °C — +85 °C, с использованием бессвинцового припояI — индустриальный температурный диапазон (-40 °C — +85 °C)U — индустриальный температурный диапазон (-40 °C — +85 °C), с использованием бессвинцового припояH — индустриальный температурный диапазон (-40 °C — +85 °C), с использованием NiPdAuN — расширенный температурный диапазон (-40 °C — +105 °C), с использованием бессвинцового припояF — расширенный температурный диапазон (-40 °C — +125 °C)Z — автомобильный температурный диапазон (-40 °C — +125 °C)D — расширенный автомобильный температурный диапазон (-40 °C — +150 °C)
Еще в самом конце может быть буква R, которая означает, что микроконтроллеры упакованы в ленты для автоматизированных систем сборки

К примеру:ATmega8L-8AU — максимальная частота — 8 мегагерц, корпус — TQFP, индустриальный температурный диапазон (-40 °C — +85 °C), с использованием бессвинцового припояATmega8-16PN — максимальная частота — 16 мегагерц, корпус — PDIP, расширенный температурный диапазон (-40 °C — +105 °C), с использованием бессвинцового припоя

Если вы знаете, что обозначают буквы и цифры в маркировке микроконтроллера, значит знаете основные параметры микроконтроллеров, и всегда сможете подобрать для своей конструкции наиболее оптимальный вариант микроконтроллера.

Линейка микроконтроллеров ATmegaЛинейка микроконтроллеров ATtiny

Маркировка микроконтроллеров AVR ATmega и ATtinyМаркировка микроконтроллеров AVR семейства ATmega и ATtiny, базовые версии и версии микроконтроллеров, индекс микроконтроллеров
Published by: Мир микроконтроллеров

Date Published: 04/27/2015

Как настроить Atmel Studio 7 и WinAVR

Atmel Studio 7 не будет изначально использовать WINAVR или AVRDUDE, поэтому мы должны настроить её для этого. Это может показаться пугающим, но не паникуйте; для программирования устройства AVRDUDE необходима только одна строка инструкций, и только один каталог требуется определить. Фактически, вы должны быть в состоянии скопировать и вставить код в этой статье для любого проекта на базе ATMEGA168.

Итак, первый шаг — сообщить Atmel Studio 7 какой компилятор она должна использовать. Для этого откройте Atmel Studio 7 (если она еще не открыта) и нажмите: Инструменты -> Параметры (англ.: Tools -> Options).

В открывшемся окне используйте список слева, чтобы перейти к: Набор инструментов -> Конфигурация пакета (англ.: Toolchain -> Package Configuration) и в опциях, которые теперь должны быть доступны справа, выберите Atmel AVR 8-bit (язык C) (Atmel AVR 8-bit (C language)) из раскрывающегося списка, а затем нажмите: Добавить особенность (англ.: Add Flavour).

Предполагая, что вы установили WINAVR в папку по умолчанию на диске C и что у вас та же версия, что и в WINAVR, как в этой статье, во всплывающем окне мы пишем так, как показано ниже. После заполнения полей нажмите «Добавить» (англ. add), а когда вы вернетесь в предыдущее окно, нажмите «ОК».

Atmel Studio 7 теперь может использовать WINAVR для компиляции программ AVR, но все равно не может программировать устройства. Для этого нам нужно добавить внешний инструмент в Atmel Studio 7 и настроить его для устройства ATMEGA168.

Первый шаг — перейти в: Инструменты -> Внешние инструменты (англ. Tools -> External tools). Открывшееся окно — единственное окно, необходимое для работы программатора USBASP. Скрин ниже показывает большинство деталей, которые вам нужно будет заполнить.

Поле «Аргументы» (англ. Arguments) не полностью отображает всю информацию, которая необходима, и поэтому приведу ниже то, что было заполнено:

avrdude -c usbasp -p atmega168 -U lfuse:w:0x26:m -U flash:w:$(ProjectDir)Debug\$(TargetName).hex:i

Большая часть информации в этом не важна для нас, кроме двух фрагментов текста. Первый — это -p atmega168;, который говорит AVRDUDE, что мы программируем ATMEGA168. Если в вашем проекте используется другой чип, замените текст atmega168 на используемое вами устройство (например, atmega88).

Второй параметр -U lfuse:w:0x26:m, который специфичен для ATMEGA168. Эта инструкция указывает AVRDUDE настроить устройство на использование внешнего кристалла, и после программирования устройство будет работать только при подключении к схеме кристалла

Обратите внимание, что это также означает, что устройству требуется кристалл при программировании. Этот аргумент будет работать только для ATMEGA168

Проекты на основе Arduino ATmega

Микроконтроллер в современной электронике – основа для любого устройства, начиная от простой мигалки на светодиодах, до универсальных измерительных приборов и даже средств автоматизации производства.

Пример 1

Можно сделать тестер с 11 функциями на микроконтроллере atmega32.

Устройство имеет крайне простую схему, в которой использовано немногим более дюжины деталей. Однако вы получаете вполне функциональный прибор, которым можно производить измерения. Вот краткий перечень его возможностей:

  1. Прозвонка цепи с возможностью измерять падение напряжения на переходе диода.
  2. Омметр.
  3. Измеритель ёмкости.
  4. Измерение активного сопротивления конденсатора или ESR.
  5. Определение индуктивности.
  6. Возможность счёта импульсов.
  7. Измерение частоты – пригодится в диагностике, например, для проверки ШИМ источника питания.
  8. Генератор импульсов – тоже полезен в ремонте.
  9. Логический анализатор позволит просмотреть содержимое пачек цифровых сигналов.
  10. Тестер стабилитронов.

Пример 2

Для радиолюбителей будет полезно иметь качественное оборудование, но станция стоит дорого. Есть возможность собрать паяльную станцию своими руками, для этого нужна плата Arduino, имеющая в своем составе микроконтроллер atmega328.

Пример 3

Для продвинутых радиолюбителей есть возможность собрать более чем бюджетный осциллограф. Мы опубликуем данный урок в дальнейших статьях.

Для этого вам понадобится:

  1. Arduino uno или atmega
  2. Tft дисплей 5 дюйма.
  3. Небольшой набор обвязки.

Или его упрощенный аналог на плате Nano и дисплее от nokia 5110.

Такой осциллографический пробник станет полезным для автоэлектрика и мастера по ремонту радиоэлектронной аппаратуры.

Пример 4

Бывает, что управляемые модули удалены друг от друга или возможностей одной ардуино не хватает – тогда можно собрать целую микроконтроллерную систему. Чтобы обеспечить связь двух микроконтроллеров стоит использовать стандарт RS 485.

На фото приведен пример реализации такой системы и ввода данных с клавиатуры.

Частотомер-тестер кварцев на atmega8

Опубликовано чт, 01/18/2018 — 19:49 пользователем trol

Частотомер — полезный прибор в лаборатории радиолюбителя (особенно, при отсутствии осциллографа).
Кроме частотомера лично мне часто недоставало тестера кварцевых резонаторов — слишком много стало приходить брака из Китая. Не раз случалось такое,
что собираешь устройство, программируешь микроконтроллер, записываешь фьюзы, чтобы он тактировался от внешнего кварца и всё — после записи фьюзов
программатор перестаёт видеть МК. Причина — «битый» кварц, реже — «глючный» микроконтроллер (или заботливо перемаркированый китайцами с добавлением,
например, буквы “А» на конце). И таких неисправных кварцев мне попадалось до 5% из партии.
Кстати, достаточно известный китайский набор частотомера с тестером кварцев на PIC-микроконтроллере и светодиодном дисплее с Алиэкспресса мне
категорически не понравился, т.к. часто вместо частоты показывал то ли погоду в Зимбабве, то ли частоты «неинтересных» гармоник
(ну или это мне не повезло).

Ардуино своими руками

Atmega2560 – хоть и мощный и продвинутый контроллер, но проще и быстрее собрать первую плату на atmega8 или 168.

Левая часть схемы – это модуль связи по USB, иначе говоря, USB-UART/TTL конвертер. Его, вместе с обвязкой, можно выбросить из схемы, для экономии места, собрать на отдельной плате и подключать только для прошивки. Он нужен для преобразования уровней сигнала.

DA1 – это стабилизатор напряжения L7805. В качестве основы можно использовать целый ряд avr микросхем, которые вы найдете, например, серии, arduino atmega32 или собрать arduino atmega16. Для этого нужно использовать разные загрузчики, но для каждого из МК нужно найти свой.

Можно поступить еще проще, и собрать всё на беспаечной макетной плате, как это показано здесь, на примере 328-й атмеги.

Микроконтроллеры – это просто и весело – вы можете сделать кучу приятный и интересных вещей или даже стать выдающимся изобретателем, не имея при этом ни образования, ни знаний о низкоуровневых языках. Ардуино – шаг в электронику с нуля, который позволяет перейти к серьезным проектам и изучению сложных языков, типа C avr и других.

Семейства микроконтроллеров

Стандартные семейства:

  • tinyAVR (ATtinyxxx):
    • Флеш-память до 16 Кб; SRAM до 512 б; EEPROM до 512 б;
    • Число линий ввода-вывода 4-18 (общее количество выводов 6-32);
    • Ограниченный набор периферийных устройств.
  • megaAVR (ATmegaxxx):
    • Флеш-память до 256 Кб; SRAM до 16 Кб; EEPROM до 4 Кб;
    • Число линий ввода-вывода 23-86 (общее количество выводов 28-100);
    • Аппаратный умножитель;
    • Расширенная система команд и периферийных устройств.
  • XMEGA AVR (ATxmegaxxx):
    • Флеш-память до 384 Кб; SRAM до 32 Кб; EEPROM до 4 Кб;
    • Четырёхканальный DMA-контроллер;
    • Инновационная система обработки событий.

Как правило, цифры после префикса обозначают объём встроенной flash-памяти (в КБ) и модификацию контроллера. А именно — максимальная степень двойки, следующая за префиксом, обозначает объём памяти, а оставшиеся цифры определяют модификацию (напр., ATmega128 — объём памяти 128 КБ; ATmega168 — объём памяти 16 КБ, модификация 8; ATtiny44 и ATtiny45 — память 4 КБ, модификации 4 и 5 соответственно).[источник не указан 2936 дней]

На основе стандартных семейств выпускаются микроконтроллеры, адаптированные под конкретные задачи:

  • со встроенными интерфейсами USB, CAN, контроллером LCD;
  • со встроенным радиоприёмопередатчиком — серии ATAxxxx, ATAMxxx;
  • для управления электродвигателями — серия AT90PWMxxxx;
  • для автомобильной электроники;
  • для осветительной техники.

Кроме указанных выше семейств, ATMEL выпускает 32-разрядные микроконтроллеры семейства AVR32, которое включает в себя подсемейства AT32UC3 (тактовая частота до 66 МГц) и AT32AP7000 (тактовая частота до 150 МГц).

Версии контроллеров

AT (mega/tiny)xxx — базовая версия.
ATxxxL — версии контроллеров, работающих на пониженном (Low) напряжении питания (2,7 В).
ATxxxV — версии контроллеров, работающих на низком напряжении питания (1,8 В).
ATxxxP — малопотребляющие версии (до 100 нА в режиме Power-down), применена технология picoPower (анонсированы в июле 2007), повыводно и функционально совместимы с предыдущими версиями.
ATxxxA — уменьшен ток потребления, перекрывается весь диапазон тактовых частот и напряжений питания двух предыдущих версий (также, в некоторых моделях, добавлены новые возможности и новые регистры, но сохранена полная совместимость с предыдущими версиями). Микроконтроллеры «А» и «не-А» обычно имеют одинаковую сигнатуру, что вызывает некоторые трудности, так как Fuse-bit’ы отличаются.

Номер модели дополняется индексом, указывающим вариант исполнения. Цифры (8,10,16,20) перед индексом означают максимальную частоту, на которой микроконтроллер может стабильно работать при нормальном для него напряжении питания).

Первая буква индекса означает вариант корпуса:

АТxxx-P — корпус DIP
АТxxx-A — корпус TQFP
АТxxx-J — корпус PLCC
АТxxx-M — корпус MLF
АТxxx-MA — корпус UDFN/USON
АТxxx-C — корпус CBGA
АТxxx-CK — корпус LGA
АТxxx-S — корпус EIAJ SOIC
АТxxx-SS — узкий корпус JEDEC SOIC
АТxxx-T — корпус TSOP
АТxxx-TS — корпус (ATtiny4/5/9/10)
АТxxx-X — корпус TSSOP

Следующая буква означает температурный диапазон и особенности изготовления:

АТxxx-xC — коммерческий температурный диапазон (0 °C — 70 °C)
АТxxx-xA — температурный диапазон −20 °C — +85 °C, с использованием бессвинцового припоя
АТxxx-xI — индустриальный температурный диапазон (-40 °C — +85 °C)
АТxxx-xU — индустриальный температурный диапазон (-40 °C — +85 °C), с использованием бессвинцового припоя
АТxxx-xH — индустриальный температурный диапазон (-40 °C — +85 °C), с использованием NiPdAu
АТxxx-xN — расширенный температурный диапазон (-40 °C — +105 °C), с использованием бессвинцового припоя
АТxxx-xF — расширенный температурный диапазон (-40 °C — +125 °C)
АТxxx-xZ — автомобильный температурный диапазон (-40 °C — +125 °C)
АТxxx-xD — расширенный автомобильный температурный диапазон (-40 °C — +150 °C)

последняя буква R означает упаковку в ленты (Tape & Reel) для автоматизированных систем сборки.

Устройства ввода-вывода МК

МК AVR имеют развитую периферию:

  • До 86 многофункциональных, двунаправленных GPIO линий ввода-вывода, объединённых в 8-битные порты ввода-вывода. В зависимости от программно-задаваемой конфигурации регистров, могут независимо друг от друга работать в режиме «сильного» драйвера, выдающего или принимающего (на «землю») ток до 40 мА, что достаточно для подключения светодиодных индикаторов. Любой из выводов портов может быть сконфигурирован на «ввод» либо в свободном состоянии, либо с использованием встроенного подтягивающего (на плюс) резистора.
  • До 3 внешних источников прерываний (по фронту, срезу, или уровню) и до 32 по изменению уровня на входе.
  • В качестве источника тактовых импульсов может быть выбран:
    • керамический или кварцевый резонатор (не у всех моделей);
    • внешний тактовый сигнал;
    • калиброванный внутренний RC-генератор (частота 1, 2, 4, 8 МГц, а также, для некоторых моделей ATtiny — 4,8, 6.4, 9.6 МГц и 128 кГц).
  • Внутренняя флеш-память команд до 256 KБ (не менее 10 000 циклов перезаписи).
  • Отладка программ осуществляется с помощью интерфейсов JTAG или debugWIRE

    сигналы JTAG (TMS, TDI, TDO, и TCK) мультиплексированы на порт ввода-вывода. Режим работы — JTAG или порт — задаётся соответствующим битом в регистре fuses. МК AVR поставляются с включённым интерфейсом JTAG.

    :

  • Внутренняя память данных EEPROM до 4 КБ (ATmega/ATxmega)/512 байт (ATtiny) (до 100 000 циклов перезаписи).
  • Внутренняя память SRAM до 32 KБ (ATxmega)/16 Кб (ATmega)/1 Кб (ATtiny) c временем доступа 2 такта.
  • Внешняя память объёмом до 64 КБ (ATmega8515, ATmega162, ATmega640, ATmega641, ATmega1280, ATmega1281, ATmega2560, ATmega256).
  • Таймеры c разрядностью 8, 16 бит.
  • ШИМ-модулятор (PWM) 8-, 9-, 10-, 16-битный.
  • Аналоговые компараторы.
  • АЦП (ADC) с дифференциальными входами, разрядность 8 (ATtiny)/10 (ATtiny/ATmega)/12 (ATxmega) бит:
    • программируемый коэффициент усиления перед АЦП 1, 10 и 200 (в дифференциальном режиме);
    • в качестве опорного напряжения могут выступать: напряжение питания, внешнее напряжение, или внутреннее некалиброванное опорное напряжение около 2,56 В (для моделей, имеющих минимальное напряжение питания от 2,7 В и выше) либо 1,1 В (с минимальным напряжением питания 1,8 В).
  • Различные последовательные интерфейсы, включая:
    • двухпроводной интерфейс TWI, совместимый с I²C;
    • универсальный синхронно/асинхронный приёмопередатчик UART/USART;
    • синхронный последовательный порт Serial Peripheral Interface (SPI).
  • USB серия AT90USBxxxx.
  • CAN серия AT90CANxxx.
  • LCD серии ATmega169 и ATmega329.
  • Датчики температуры ATtiny25, ATtiny45, ATtiny85.
  • Почти все (за исключением некоторых ранних моделей ATtiny, у которых перепрограммирование идёт по особому интерфейсу) поддерживают внутрисхемное программирование (ISP) через последовательный интерфейс SPI. Многие микроконтроллеры поддерживают альтернативное последовательное или параллельное программирование с использованием высокого напряжения, для случаев, если fuse-регистры были настроены так, что обычное программирование стало недоступно.
  • Поддержка самопрограммирования, при котором основная программа может изменить часть своего кода.
  • Поддержка загрузки основной программы с помощью защищённой от перезаписи подпрограммы (bootloader). Код основной программы обычно принимается через один из портов микроконтроллера с использованием одного из стандартных протоколов.
  • Ряд режимов пониженного энергопотребления.

Примечание: не все периферийные устройства могут быть включены программно. Некоторые из них предварительно должны быть активированы битами в регистрах Fuses, которые могут быть изменены только программатором.

Рейтинг автора
5
Материал подготовил
Максим Иванов
Наш эксперт
Написано статей
129
Ссылка на основную публикацию
Похожие публикации